Средства функционального проектирования

Этот этап остается основным при проектировании цифровых систем независимо от их физической реализации. Задаче разработчика на этом уровне – разработать RTL-описание систем, на основе которого производится логический синтез систем. Поэтому к этому уровню относят средства моделирования и отладки RTL-кода, а также средства логического синтеза из RTL описания.

Разработка RTL-кода – это, как правило, циклический процесс, т.е. производится оценка его реализуемости, повторные изменения до тех пор, пока качество исходного кода не позволит его передать на этап логического синтеза.

Использование подобных средств направленно на обеспечение переносимости проекта с ПЛИС на ASIC (средств оценки дальнейшей реализуемости RTL-кода).

Средства оценки реализуемости RTL-кода включают:

1. проверку семантики;

2. учет целостности и завершенности проекта;

3. стиль кодирования;

4. временные ограничения;

5. анализ площади блоков;

6. анализ нетрассируемых структур;

7. анализ времени распространения сигнала.

Эти средства дают возможность разработчику ценить реализацию проекта на ранней стадии без необходимости иметь информацию о физических аспектах дальнейшего этапа проектирования.

Это позволит сократить число циклов при логическом синтезе и дает возможность передавать в дизайн-центры не список цепей в элементном базисе производителя, а RTL-код на языках VHDL или Verilog.

Пример. Компания LSI Logic и NEC для анализа проектов с учетом возможности и их реализации на своих структурных ASIC используют специально настроенную САПР компании TeraSystems.

Для получения качественного RTL-кода необходимо использовать средства моделирования. Для ПЛИС эти средства моделирования отличаются простотой, удобством отладки и низкой стоимостью. Для ASIC (в т.ч. и структурных) необходимо исключить любые ошибки в одном цикле. Поэтому средства логической и временной верификации моделирования отличаются высокой стоимостью. Наиболее популярными системами моделирования являются продукты компании Aldec, Cadence, Mentor Graphics и Synopsys. Средства Cadence и Synopsys ориентированы на ASIC, а Aldec и Mentor Graphics на ПЛИС. Фирма Aldec выпускает систему моделирования ActivHDL. Эта система обеспечивает интегрированную среду проектирования и моделирования для ПЛИС любых производителей, содержит средства групповой разработки, управления проектами и библиотеками, кросс-отладки и анализа тестового покрытия, текстовые и графические редакторы, а вместе с системой Riviera, включающей средства для верификации ASIC, предоставляет единую среду проектирования для всех возможных способов реализации проекта.


Лекция 25

Так как передача проекта на разработку топологии осуществляется на уровне списка цепей, разработчикам функционального уровня необходимо иметь средства логического и физического синтеза. Наиболее универсальным продуктом для решения этих задач является продукт компании Simplicity. Этот продукт позволяет проводить логический и физический синтез для ASIC и ПЛИС любых производителей, поддерживает моделирование ASIC на ПЛИС с возможностью разделения проекта на несколько ПЛИС и имеет средства отладки ПЛИС на уровне RTL-описания. Эта компания предоставляет также и средства синтеза для структурных ASIC. Эти средства работают в более крупном базисе, т.е. включающем мультиплексоры, триггеры, блоки памяти. Ряд крупных фирм используют продукты Simplicity для разработки структурных - LSI Logic и NEC.

Каждый производитель строит свой маршрут проектирования, ориентированный на конкретную архитектуру. Эффективный контроль и прогнозирование на функциональном уровне существенно сокращает число повторных циклов проектирования и время проектирования.

Многие, из имеющихся на рынке средств для выполнения различных этапов проекта, обладают средствами настройки на маршрут проектирования конкретных производителей.

 

Компании-производители структурных ASIC и средств САПР для них

1. Accel Chip;

2. LDec;

3. AMI Semiconductor;

4. Jujitsu;

5. Leopard Logic;

6. LSI Logic;

7. NEC Electronics;

8. Symplycity.

 

Под разработкой микросхемы на VHDL понимается разработка описания устройства с точки зрения алгоритма его функционирования. Как правило, используется концепция модулей, т.е. микросхема делится на несколько логических структур. В сложных системах их число может быть очень большим. В описании модуля указывается характеристика входных и выходных данных и алгоритм их взаимосвязи. Понятие «модуль» соответствует языку Verilog, который допускает описание одного модуля. А язык VHDL допускает несколько описаний.

Структура модулей иерархическая. Каждый модуль включает в себя экземпляры других модулей, выполняющих более простые процедуры. Сама микросхема также является модулем со своими входами и выходами. Например, надо разработать комбинационный модуль – сумматор. Сначала разработчик описывает одноразрядный двоичный сумматор с тремя входами и двумя выходами. Для описания многоразрядного сумматора описывается модуль, которое включает необходимое число модулей, описывающих одноразрядный сумматор. Результатом синтеза является логическая схема устройства (уровень логических элементов), при разработке, которой используются логические элементы из библиотеки логических элементов и описываются связи между ними. Состав модулей в библиотеки зависит от используемого базиса. Базис дает фирма-производитель.

Если мы изготавливаем полузаказную ИС (FPGA), то она проектируется из блоков более крупных готовых блоков. HDL-языки имеет достаточно развитые средства описания задержек сигналов в библиотечных модулях (либо в логических элементах, либо в блоках), и описания других временных характеристик для конкретных типов микросхем.

Далее происходит моделирование микросхемы по исходному описанию и по результатам синтеза. Т.к. исходное описание не учитывает конкретные временные характеристики элементов/блоков, а инженер часто описывает асинхронные процессы (триггеры, срабатывающие по уровню, а не по фронту синхросигнала), то возникает вопрос несоответствия результатов естественного и синтезируемого описания микросхем. Инженер устраняет причины такого несоответствия, корректируя исходное описание, проводит повторный синтез и опять проводит повторные испытания. Число повторных циклов может быть очень большим. После совпадения результатов, моделирование передается средством синтеза более низкого уровня. Далее производится размещение блоков на кристалле с учетом маршрутизации соединения. На основе этих результатов разрабатываются «маски» для изготовления микросхем. Если микросхема содержит цифровую и аналоговую часть, то дополнительно производится моделирование аналоговой части, и возможные помехи устраняются путем переразмещения элементов и блоков и получения новой топологии.


Лекция 26

Уровни кодирования на VHDL

1. уровень транзисторов (switch level) – HDL-языки позволяют описывать устройства в Понтиях отдельного MDP-MOS-транзистора и шин земля и питание. Потребность в таком описании возникает редко из-за большого уровня интеграции транзисторов в современных микросхемах. Применять этот уровень необходимо с большим вниманием, учитывая, что для разных базисов и для полузаказных ИС результат может очень сильно отличаться от задуманного.

2. уровень логически элементов (gate level) – наиболее часто применяемый. Описание микросхемы производится в понятиях инверсное "И", инверсное "ИЛИ" и т.д. далее синтезирующая программа приводит всю логику к базису, описываемому в библиотеке элементов. Этот уровень применяется для разработки небольших устройств.

3. уровень передачи данных или межрегистровых передач (data flow level or register transfer level). Т.к. число логических элементов может быть очень большим, то описание устройства происходит в понятиях передачи данных между регистрами. Далее программа логического синтеза переводит это описание на уровень логических элементов. Последовательность этого перевода такая:

a. разработка RTL-описания;

b. трансляция;

c. получение неоптимизированного непосредственного представления;

d. логическая оптимизация;

e. топологическое отображение и оптимизация с учетом заданных ограничений и библиотеки логических элементов;

f. получение оптимизированного описания на уровне логических элементов.

Трансляция RTL-описания микросхемы – это перевод RTL-описания в некоторое внутреннее преставление, при этом такие ограничения как площадь, временные характеристики и энергопотребление не учитываются. Неоптимизированное описание – это описание соответствующее внутренним структурам данных инструментов логического синтеза. Логическая оптимизации я – это упрощение логических выражений с помощью различны методов оптимизации. До этапа топологического отображения, описание устройства не зависело от метода его изготовления. На данном этапе программа синтеза переводит разработанное описание на язык конкретных элементов с учетом особенности их производства. Логические элементы (ячейки) могут быть как обычными элементами цифровой логики, так и микроячейками (мультиплексор, триггер, сумматор и т.д.). Из этих блоков строится микросхема. Каждая логическая ячейка сначала получает свою физическую интерпретацию, и вычисляются временные характеристики в формате, понимаемом средствами топологического синтеза.

 

Описание ячейки включает:

1. функциональность ячейки;

2. занимаемая ею площадь;

3. временные характеристики;

4. характеристики энергопотребления.

 

Такое описание находится в библиотеке элементов. В библиотеке стандартных модулей могут быть описаны АЗУ, АЛУ и различные периферийные устройства, если речь идет об СНК. При разработке СНК часть блоков может разрабатываться заново, а часть берется из библиотеки.

 

Условием записи модуля/блока в библиотеку является:

1. ясное описание блока;

2. хороший интерфейс;

3. документация на него;

4. необходимые комментарии;

5. испытательный стенд с надежными тестами.

 

Продажи обычно подлежит лицензия на разработку данного блока или всей СНК. Вычислительные заготовки различаются по гибкости своей настройки на условии потребителя: гибкие – описанные на языке VHDL на уровне межрегистровых передач, жесткие – логическая схема и EDIF-файл, твердая – маска под определенную технологию или прошивка ПЛИС. Гибкие заготовки, как правило, настраиваются в широком диапазоне и не зависят от технологий изготовления. Выигрыш от их применения – это не только уменьшение стоимости СНК, но и уменьшение его энергопотребления.

 

Чтобы проект был принят как гибкая заготовка, он должен иметь:

1. исчерпывающую ясную документацию;

2. текст описания на VHDL или Verilog в хорошем стиле для синтеза заготовка должна быть настраиваемой на технические условия потребителя;

3. хорошие средства верификации в виде стендов, исчерпывающих тестов и иногда опытных макетов;

4. четкая методика того, как вычислительное устройство вставлять в СНК, включающая надежные скрипты (это программа на макроязыке используемой САПР, автоматизирующая тестирование и создание жесткой и твердой заготовок).

 

Сейчас наиболее рациональным способом считается сборка СНК из готовых модулей или блоков. Есть платные и бесплатные библиотеки модулей. Бесплатный банк заготовок (модулей, блоков) создан по инициативе организаций, содействующий развитию технологий СНК, так е в этом могут принимать участие отдельные инженеры, желающие, чтобы их проект был общедоступным.

Классификация и основные этапы изготовления микросхем

По конструктивно-технологическому исполнению структур интегральные микросхемы делятся на две основные разновидности: полупроводниковые и гибридные.

Полупроводниковыми называют микросхемы, все элементы и межэлементные соединения которых выполнены в объеме и на поверхности полупроводниковой пластины, формируются они на основе биполярных или МОП-транзисторов.

Пленочной называют микросхему, все элементы и межэлементные соединения и контактные площадки которой выполнены на диэлектрической подложке в виде пленок. По этой технологии могут изготавливаться многокристальные интегральные схемы.

Гибридной называют микросхему, содержащую кроме элементов, представляющих собой неразделимые от диэлектрической подложки элементы, и компоненты и/или кристаллы. Компонент ИС – это часть интегральной схемы, реализующая функцию какого-либо электрорадиоэлемента, которая в отличие от элемента может быть выполнена как самостоятельное изделие с точки зрения требования к испытаниям, приемке, поставки и эксплуатации. Кристалл – это часть полупроводниковой пластины, в объеме и на поверхности которой сформированы элементы, межэлементные соединения и контактные площадки одной интегральной схемы.

 

Интегральные схемы

Полупроводниковые Гибридные
- биполярные - тонкопленочные
- МОП - толстопленочные
- комплементарные  

Основные конструктивные элементы интегральных схем

Любая ИС состоит из структуры, внешнего корпуса и средств сборки, т.е. элементов обеспечивающих соединение структуры с корпусом и внешними выводами.

Структура – это функциональная основа микросхемы. Она содержит все элементы (компоненты или кристаллы), межэлементные соединения и контактные площадки.

Корпус предохраняет структуру от влияния механических нагрузок и влияния окружающей среды, а также обеспечивает возможность монтажа микросхемы на плату.

Средство сборки – это контактные площадки (припои площадки), проволочные вывода и выходные контакты.

В зависимости от внешнего конструктивного оформления различают корпусные, бескорпусные и комбинированные ИС. Для бескорпусных схем для герметизации используется пластмасса.

 

Этапы изготовления интегральной схемы:

1. изготовление пластин и/или подложек;

2. формирование структуры микросхемы;

3. сборка и герметизация микросхемы;

4. испытания измерения;

5. завершающие процедуры.


Лекция 27

1. Изготовление пластин

Полупроводниковые пластины и диэлектрические подложки являются заготовками для изготовления структур микросхем. На одной полупроводниковой пластине в ходе одного производственного процесса изготавливается много структур. На диэлектрических подложках изготавливают пассивную часть гибридных микросхем и пленочные микросхемы. В настоящее время для изготовления полупроводниковых пластин применяют кремний и арсенид галлия. Структура и свойства полупроводников определяют параметры интегральной схемы. Для гибридных и пленочных микросхем, для подложек, используют стекло и кремний. Также сейчас применят гибкие полимерные подложки из полиамида и металлические подложки с диэлектрическим покрытием, также применяют малокристаллические диэлектрические подложки. Изготовление пластин и подложек включает механическую обработку полупроводниковых или диэлектрических материалов и очистку поверхности, полученных заготовок.

2. Формирование структуры микросхемы

этот этап состоит из формирования элементов, межэлементных соединений и контактных площадок в соответствии с топологией и вертикальными разрезами структур, т.е. получение элементов заданной конфигурации, размерами, взаимным расположением в горизонтальной плоскости на поверхности пластин или подложек, заданных размеров, в вертикальном направлении (толщина пленок и глубина легирования). В соответствии с этим все методы изготовления структур делят на три группы:

a. Методы формирования топологии;

b. Методы получения пленок;

c. Методы легирования пластин и наращивание полупроводниковых слоев (только для полупроводниковых структур).

Структура микросхемы изготавливается только одновременной обработкой партии пластин или подложек. И на каждой из них изготавливается множество структур.

3. Сборка и герметизация микросхемы

Сборка интегральной схемы включает в себя подсоединения и монтаж структур к основанию корпуса, подсоединение выводов и контактных площадок к внешним выводам и герметизацию.

4. Испытания и измерения

Испытания делятся на климатические, механические и электрические. При климатических испытаниях проводят термоциклирования и проверку на влаго-, тепло-, и холодоустойчивость. При электрических испытаниях проводят токовую тренировку и проверку на стабильность параметров.

5. Завершающие процедуры

Это окраска, лакировка, гальваническое покрытие и маркировка.

Принципы формирования структур микросхем

Принцип совместимости элементов учитывает конструктивное единство интегральной схемы, т.к. каждый элемент микросхемы изготавливается в едином процессе с другими элементами (транзисторы, диоды, конденсаторы, резисторы). Для практического осуществления этого принципа за основу берут самый сложный элемент – транзистор. Например, в биполярных микросхемах резисторы получают одновременно с получением базовых областей транзисторов. Принцип локальности технологических обработок означает, что при одновременном формировании активных, пассивных элементов и межэлементных связей наряду с процедурами, в которых одновременно обрабатывается вся поверхность пластины или подложки, есть процессы, где задействованы отдельные области, вид и расположение которых определятся топологией микросхемы. Практическая реализация этого принципа осуществляется на основе экранировании участков, которые не подлежат обработке, или с помощью локализации самих средств обработки. В первой ситуации говорят о масочной технологии, во второй - о безмасочной.

Масочная технология основана на использовании свободных (съемных) или контактных масок. Свободные маски применяют при формировании тонко- и толстопленочных микросхем. Толщина маски 80-100 микрон. Контактная маска применяется один раз.

Безмасочные технологии, т.е. когда локализуется область обработки пластины, основаны на применении электронного луча, ионного луча или лазерного луча.

EUV-литография (Extrim Ultraviolet)

Современный уровень изготовления микросхем движется в направлении 90 нм -> 32 нм –> 18 нм. Это означает, что при производстве Тб микросхем на 1см кв.может располагаться 390 млн. транзисторов. Наряду с чисто физическими трудностями возникают трудности, связанные с особенностями производства микросхем. Свойства устройств на одной кремниевой пластине не идентичны, как и на разных пластинах. Отклонения могут быть на разных этапах производства, что ведет к увеличению бракованных изделий, которые могут составлять 50-70% от всей партии. Для преодоления этих трудностей ведущие производители (Sony, IBM, Intel) выделяют более 20% бюджета для выявления механизмов преодоления этих трудностей (в среднем 5-7 млн. долларов ежегодно).

Одной из основных трудностей является переведение маски на кристалл (перенесение рисунка маски на кристалл). Это делается с помощью системы LinX, которая уменьшает маску до размеров кристалла. Кремниевая пластина закрепляется на позиционном столе под системой LinX и далее перемещается, чтобы нанести все элементы – микропроцессоры. Ультрафиолетовый луч, проходя через свободные места на маске, меняет свойства светочувствительного слоя в соответствующих местах, который приобретает способность к растворению и потом удаляется. Современные литографические машины обрабатываю за один час несколько десятков 8-ми дюймовых полупроводниковых пластин. Для изготовления большинства пластин используются UV-лучи с длиной волны 0,248 микрона, 0,198 микрона и менее. При длине луча меньше 0,2 микрона слишком много излучения поглощается светочувствительным слоем, поэтому усложняется и замедляется процесс перенесения шаблона схемы на кристалл. Сейчас производится переход UV-излучения на рентгеновское. Тогда процесс называют EUV-литографией.


Лекция 28

Фирмы Motorola, IBM, AMD, Intel создали общий союз EUV-LLC (Limited L.. Company) для разработки средств EUV-литографии. EUV-литография дает возможность увеличить число уровней микросхемы. Однако чем больше слоев в микросхеме, тем боле тщательное наблюдение необходимо в процессе производства, т.к. возможно взаимовлияние уровней друг на друга. Стоимость усовершенствования средств верификации и создание соединений между уровнями может оказаться сдерживающим фактором в применении этой технологии. Также предъявляются очень высокие требования к стерильности производства и необходим более точный механизм позиционирования исходной кремниевой пластины. Использование EUV-литографии дает возможность переходить на проектные нормы 0,13 микрон для изготовления микропроцессоров. Использование в процессе литографии ультрафиолетового излучения началось с середины 80-х годов, чтобы нанести рисунок схемы на пластину с помощью степлера (управляемая компьютером машина), выполняется фотолитография. Её целью является создание в слое фоторезиста окна с заданной конфигурацией для доступа травителя в расположенным под ним слоем полупроводниковой пластины с оксидной пленкой. При лямбда<0,2 микрона слишком много света поглощается слоем фоторезиста, и он теряет способность менять свои свойства. Также возникает сложность с тестированием изготавливаемых микросхем. Сейчас тестовый стенд разработан EUV-LLC, но исследования продолжаются до сих пор. Современные установки для шагового мультиплицирования на пластину с применением глубокого ультрафиолетового излучения (DVU – deep UV), т.е. машины, которые печатают схемы на кремниевые подложки, используют источник света с лямбда 0,248 нм, длина волны EUV-излучения составляет примерно 13 нм, т.е. примерно в 20 раз меньше. При этом элементы на кристалле становятся сопоставимыми по своим размерам с элементами внутри структуры кристалла. Применяемая литография позволяет наносить шаблон с минимальной шириной 0,1 микрона, а EUV-литография дает возможность печатать линии шириной 0,03 микрон. Управлять ультрафиолетовым излучением достаточно сложно, т.к. EUV-излучение хорошо поглощается стеклом, поэтому предполагается использовать вместо линз для уменьшения рисунка систему из 4х выпуклых зеркал, которые уменьшают и фокусируют изображение после применения маски. Каждое такое зеркало содержит 80 стандартных металлических слоев толщиной в 12 атомов. Сейчас создается прототип промышленной установки для EUV-литографии. Для широкого внедрения EUV-литографии необходимо разработать механизм, способный захватывать невидимый свет, создавать платформы для изготовления и совмещения картинок на различных этапах изготовления микросхем, системы зеркал и бездефектных масок и средства измерения и верификации.

Использование СФ-блоков (IP) при проектировании СНК[6]

Существует 2 основные формы представления СФ-блока:

1. В виде топологических фрагментов, которые могут быть непосредственно реализованы в физической структуре кристалла – т.е. аппаратно-реализованные (Hard IP-блоки)

2. В виде моделей на языке описания аппаратуры (Verilog, VHDL), которые средствами САПР могут быть преобразованы в топологические фрагменты для реализации на кристалле – синтезируемые (Soft IP-блоки)

Таким образом, разработчик может либо непосредственно смонтировать в структуру проектируемый СБИС топологически готовый СФ-блок, либо использовать имеющуюся модель СФ-блока и выполнить его схемотехническое и топологическое проектирование в составе реализуемый СБИС СНК. В процессе проектирования СНК разработчик имеет возможность выбора следующих решений:

1. Самостоятельная разработка необходимых СФ-блоков

2. Покупка СФ-блоков у ведущих разработчиков и производителей микросхем

3. Поиск и применение СФ-блоков, представляемых в открытом доступе

Каждый из этих вариантов имеет свои достоинства и недостатки. Самостоятельная разработка СФ-блоков может привести к увеличению сроков проектирования и задержки выпуска изделий. Приобретение СФ-блока сопряжено с финансовыми затратами, которые увеличат стоимость разработки. А применение СФ-блоков, имеющихся в свободном доступе, возможно только после их тщательной верификации, что требует значительных временных затрат. При выполнении проекта разработчик должен провести оценку поставленных требований и имеющихся ресурсов, чтобы выбрать наиболее рациональный вариант разработки СНК.

Таким образом, основная особенность проектирования СНК – возможность использования достаточно широкой номенклатуры синтезируемых СФ-блоков, имеющихся на рынке и в свободном доступе, которые могут быть реализованына базе различных функциональных библиотек и технологий и интегрированы в кристалл средствами современных САПР.

Существует альтернатива – использовать систему на плате или систему на кристалле.

Преимущества систем на плате:

1. использование хорошо проверенных серийных компонентов

2. более простой процесс тестирования и отладки

3. возможность замены неисправных компонентов

4. низкая стоимость создания опытных образцов и малых серий

Преимущества систем на кристалле:

1. Возможность получения более высоких технических показателей (производительность, энергопотребление, массогабаритные характеристики)

2. Более низкая стоимость при крупносерийном выпуске

 

Следует отметить, что реализация СНК в виде специализированной ASIC требует значительных финансовых затрат: изготовление опытной партии (несколько тысяч образцов) специализированных СБИС по технологии 0,13-0,16 микрон стоит несколько сотен тысяч долларов, а по технологии 0,09 микрон – свыше миллиона долларов. Также необходимо учитывать, что имеющийся опыт разработки СНК показывает, что только в 25% проектов первоначально полученные опытные образцы соответствуют заданным требованиями, в большинстве случаев для получения необходимого результата требуется несколько итераций, что увеличивает стоимость проектов. Снизить риски при выполнении таких проектов может развитие средств САПР. СНК в виде ASIC являются приемлемыми только для ограниченного числа высоко бюджетных проектов. Во всех случаях, когда можно достичь заданных характеристик, используя системы на плате, этот вариант является более предпочтительным. Альтернативой может быть реализация СНК на базе высоко интегрированных FPGA, содержащих миллионы эквивалентных логических вентилей.

Преимущества реализации СНК на базе FPGA:

1. Малые затраты на разработку и создание опытных образцов

2. Возможность многократной коррекции проекта

3. Использование хорошо проверенных серийных изделий

4. Более простой процесс тестирования и отладки (проведение этих процессов по частям)

 

Таким образом, СНК на базе FPGA имеет практически те же достоинства, что и системы на плате, но отличаются более лучшими техническими характеристиками – более низкое энергопотребление, меньшие габариты и масса, при этом по таким параметрам, как производительность и энергопотребление, СНК на базе FPGA уступают СНК в виде ASIC.

 

Можно сделать вывод, что СНК на базе FPGA будут конкурировать и постепенно вытеснять системы на плате, при этом вместо микропроцессоров и микроконтроллеров в этих СНК будут использоваться различные варианты процессорных СФ-блоков. Сейчас эти блоки предлагают фирмы Xilinx, Altera, но для крупносерийных и высоко бюджетных проектов будут применяться СНК на базе ASIC.


Лекция 29

Единая система конструкторской документации

Стадии разработки:

1. техническое предложение

· подбор материала

· разработка технического предложения

· разработка и утверждение технического предложения

2. эскизный проект

· разработка эскизного проекта

· изготовление и испытание макета (при необходимости)

· рассмотрение и утверждение эскизного проекта

3. технический проект

· разработка технического проекта

· изготовление и испытание макета (при необходимости)

· рассмотрение и утверждение технического проекта

4. рабочая конструкторская документация

· разработка конструкторской документации, предназначенной для изготовления и испытания опытного образца (опытной партии)

а) опытный образец

· изготовление и предварительное испытание опытного образца (опытной партии)

· корректировка конструкторской документации по результатам изготовления и предварительных испытаний опытного образца (опытной партии)

· приемочные испытания опытного образца (опытной партии)

· корректировка конструкторской документации по результатам приемочных испытаний

б) серийное (массовое) производство

· изготовление и испытание установочной серии

· корректировка конструкторской документации по результатам изготовления испытаний установочной серии, а также оснащение технологического процесса изготовления изделия (Из ЕИСКД ГОСТ 2.103-68)

 

Единая система программной документации

ЕСПД (ГОСТ 19.102-77)

Стадии разработки программного обеспечения:

1. Разработка технического задания

· Обоснование необходимости разработки программы

- Постановка задачи

- Сбор исходных материалов

- Выбор и обоснование критериев эффективности и качества разрабатываемой программы

- Обоснование необходимости проведения научно-исследовательских работ

· Научно-исследовательские работы

- Определение структуры входных и выходных данных

- Предварительный выбор методов решения задачи

- Обоснование целесообразности ранеее разработанных программ

- Определение требований к техническим средствам

- Обоснование принципиальной возможности решения принципиальной задачи

· Разработка и утверждение технического задания

- Определение требований к программе

- Разработка технико-экономического обоснования разработки программы

- Определение стадий, этапов и сроков разработки программы и документации на неё

- Выбор языков программирования

- Определение необходимости проведения научно-исследовательских работ на последующих стадиях

- Согласование и утверждение технического задания

2. Эскизный проект

· Разработка эскизного проекта

- Предварительная разработка структуры входных и выходных данных

- Уточнение методов решения задачи

- Разработка общего описания алгоритма решения задачи

- Разработка технико-экономического обоснования

· Утверждение эскизного проекта

- Разработка пояснительной записки

- Согласование и утверждение эскизного проекта

3. Технический проект

· Разработка технического проекта

- Уточнение структуры входных и выходных данных

- Разработка алгоритма решения задачи

- Определение формы представления входных и выходных данных

- Определение семантинки и синтаксиса языка

- Разработка структуры программы

- Окончательное определение конфигурации технических средств

· Утверждение технического проекта

- Разработка плана мероприятий по разработки и внедрению программы

- Разработка пояснительной записки

- Согласование и утверждение технического проекта

4. Рабочий проект

· Разработка программы

- Программирование и отладка программы

· Разработка программной документации в соответствии с ГОСТ 19.101 – 77

· Испытание программы

- Разработка, согласование и утверждение порядка и методики испытании

- Проведение предварительных испытаний

- Корректировка программы и программной документации по результатам испытаний

5. Внедрение

· Подготовка и передача программы

- Подготовка и передача программы и программной документации для сопровождения и (или) изготовления

- Оформление и утверждение акта о передачи программы на сопровождение и (или) изготовление

- Передача программы в фонд алгоритмов и программ

Автоматизированные системы – стадии создания

ГОСТ 34.601 - 90

1. Формирование требований к АС

· Обследование объекта и обоснование необходимости создания АС

· Формирование требований пользователя к АС

· Оформление отчета

2. Разработка концепции АС

· Изучение объекта

· Проведение необходимых научно-исследовательских работ (см. пред. гост)

· Разработка вариантов концепции АС, удовлетворяющих требований пользователя

· Оформление отчета

3. Разработка технического задания

· Разработка и утверждение ТЗ на создание АС (см. пред. гост)

4. Эскизный проект

· Разработка предварительных проектных решений по системе и её частям

· Разработка документации на АС и её части

5. Технический проект

· Разработка проектных решений по системе и её частям

· Разработка документации на АС и её части

· Разработка и оформление документации на поставку изделий для комплектования АС и (или) технических требований (технических заданий) на их разработку

· Разработка заданий на проектирование в смежных частях проекта объекта автоматизации

6. Рабочая документация

· Разработка рабочей документации на систему и её части

· Разработка (настройка) программ

7. Ввод в действие

· Подготовка объекта автоматизации к вводу АС в действие

· Подготовка персонала

· Комплектация АС поставляемыми изделиями (программными и техническими средствами, программными и техническими комплексами, информационными изделиями)

· Строительно-монтажные работы

· Пуско-наладочные работы

· Проведение предварительных испытаний

· Проведение опытной эксплуатации

· Проведение приемочных испытаний

8. Сопровождение АС

· Выполнение работ в соответствии с гарантийными обязательствами

· Послегарантийное обслуживание


[1] В пересчете формата А4 за год работы системы.

[2] В. Уразаев «Печатные платы – линия развития»

[3]по материалам статьи А. Строганова

[4] по материалам статьи Н. Евтушенко, В Немудрова, И. Сырцова «Методология проектирования систем на кристалле. Основные принципы, методы, программные средства»

[5] по материалам статьи А. Бухтеева, В. Немудрова

[6] По материалам статьи И. Шагурина «Системы на кристалле: особенности реализации и перспективы применения» журнал «Электронные компоненты» №1 2009 год








Дата добавления: 2016-04-02; просмотров: 2159;


Поиск по сайту:

При помощи поиска вы сможете найти нужную вам информацию.

Поделитесь с друзьями:

Если вам перенёс пользу информационный материал, или помог в учебе – поделитесь этим сайтом с друзьями и знакомыми.
helpiks.org - Хелпикс.Орг - 2014-2024 год. Материал сайта представляется для ознакомительного и учебного использования. | Поддержка
Генерация страницы за: 0.111 сек.