Округление

Речь идет об округлении только дробных чисел, целые не округляются. Так как в ЭВМ используются числа с конечным числом разрядов, а также часто выполняются операции приведения данных одной размерности к данным другой, то операция округления выполняется достаточно часто.

В общем виде число с плавающей запятой размещенное в разрядной сетке размерностью k имеет вид Ar=mark . Если для записи мантиссы используются только n разрядов, то число может быть представлено в виде двух частей: Ar=[ma]rn+[A0]rk-n, где [A0]rk-n=A0 – часть числа не вошедшая в разрядную сетку размерностью k.

В зависимости от того, как учитывается А0, при записи числа А в n-разрядную сетку, можно выделить несколько способов округления чисел.

1. Отбрасывание А0. При этом возникает относительная погрешность

õокр=|А0|rk-n/(|mA|rn), так как r -1≤ |mA| <1, 0≤ |A0| <1, то õокр=r--(n-1).

2. Симметричное округление. При этом производится анализ величины А0:

При условии |А0|≥r-1 единица добавляется к младшему разряду мантиссы. Данный способ округления наиболее часто используется на практике.

3. Округление по дополнению. В этом случае для округления используется (n+1)-й разряд. Если в нем находится единица, то она передается в n-й разряд, иначе разряды начиная с (n+1)-го отбрасываются.

4. Случайное округление. Генератор случайных чисел формирует нулевое или единичное значение, посылаемое в младший разряд мантиссы.

Оценка точности вычислений зависит как от вида выполняемых операций, так и от последовательности их следования друг за другом.

 








Дата добавления: 2015-05-05; просмотров: 712;


Поиск по сайту:

При помощи поиска вы сможете найти нужную вам информацию.

Поделитесь с друзьями:

Если вам перенёс пользу информационный материал, или помог в учебе – поделитесь этим сайтом с друзьями и знакомыми.
helpiks.org - Хелпикс.Орг - 2014-2024 год. Материал сайта представляется для ознакомительного и учебного использования. | Поддержка
Генерация страницы за: 0.003 сек.