Преобразователи кодов

Кодирующие устройства

Преобразователи кодов

В цифровых устройствах часто возникает необходи­мость преобразования информации из одной двоичной системы в другую (из одного двоичного кода в другой). Для представления двоичных систем используются раз­личные виды кодирования: прямой, обратный, дополни­тельный, двоично-десятичный и т. д. Особая роль отводится корректирующим кодам и кодам, обнаруживающим и исправляющим ошибки. Они удобны для передачи сиг­налов по линиям связи в условиях воздействия помех.

На аппаратном уровне задачу преобразования инфор­мации из одного кода в другой выполняют комбинацион­ные устройства — преобразователи кодов.

Преобразователь кода — комбинационное устройство, предназначенное для изменения вида кодирования инфор­мации (английское — converter).

На принципиальных схемах преобразователи кодов обозначаются X/Y. В отечественных сериях преобразова­тели код-код можно определить по буквам ПР. Буква П соответствует подгруппе преобразователей сигналов. Например, 155ПР6 — преобразователь двоично-десятичного кода в двоичный; 155ПР7 — преобразователь двоичного кода в двоично-десятичный (рис. 3.23, а, б). Вход ЕО яв­ляется входом разрешения выхода.

При проектировании и конструировании преобразова­телей кодов можно выделить два подхода:

1) метод, основанный на преобразовании исходного двоичного кода в десятичный и последующем преобразо­вании десятичного представления в требуемый код;

2) метод, основанный на использовании логического устройства комбинационного типа, непосредственно реа­лизующего данное преобразование.

В первом методе каскадно соединяют дешифратор и шифратор. Сами шифраторы и дешифраторы являются частным случаем преобразователей кодов.

Во втором случае, как для любого комбинационного уст­ройства, составляют таблицу истинности и устанавливают од­нозначное соответствие между подаваемыми на входы и сни­маемыми на выходах комбинациями. Далее проводят синтез логического комбинационного устройства в заданном базисе.

Отметим также, что любые преобразования параллель­ных кодов легко и удобно осуществить на микросхемах по­стоянной памяти и программируемых логических матрицах.

Рассмотрим пример управления семисегментным све­тодиодным либо жидкокристаллическим индикатором (рис. 3.23, в). Такие индикаторы при различных комбинациях све­тящихся элементов высвечивают цифры от 0 до 9. Для цифры 0 необходимо погасить сегмент g, а остальные дол­жны светится. Для цифры 1 — светятся сегменты b и с; сегменты a, d, е, f, g погашены и т. д.. Сегмент будет го­реть, если на него будет подано напряжение логического нуля. Сегмент будет погашен, если на него будет подано напряжение логической единицы.

Запишем таблицу истинности для данного преобразо­вания кодов.

Синтезируемое комбинационное устройство имеет че­тыре входа и семь выходов, поэтому для каждого из семи выходов получаем формулу в базисе И-НЕ:

Полученные формулы (3.12) позволяют без большого труда построить схему преобразователя кода двоичного в семисегментный.

Шифраторы

Шифратор преобразует сигнал, поданный только в один входной провод, в выходной параллельный двоичный код на выходах шифратора. Шифратор также называют коде­ром (CD). Таким образом, подача сигнала на один из вхо­дов приводит к появлению на выходах двоичного числа, соответствующего номеру возбужденного входа.

Полный шифратор имеет 2п входов и п выходов (рис. 3.24, а).

В отечественных схемах шифраторы обозначаются бук­вами ИВ, например К555ИВ1.

Шифраторы также применяются для преобразования десятичных чисел в двоичную систему счисления (рис. 3.24,б), тогда число входов меньше 2п, где п — число выходов. Например, шифратор на рис. 3.24, б при возбуждении од­ного из 10 входов 01..., х9) формирует на выходах двоич­ный код номера возбужденной входной линии. Так, при подаче сигнала на вход х9 на выходах появится код 1001.

Применение шифраторов приводит к сокращению ко­личества сигналов в цифровых устройствах (линий пере­дачи). Также шифраторы используют в разнообразных ус­тройствах ввода информации в цифровые системы. Рас­смотрим таблицу истинности шифратора (рис. 3.24, б), преобразующего десятичные числа 0, 1, 2, ..., 9 в двоич­ное представление в коде 8421.

Входные и выходные сигналы могут быть как прямы­ми, так и инверсными.

В соответствии с таблицей 3.11 для входов можно за­писать, полагая активной логическую 1:

Этой системе уравнений соответствует схема на эле­ментах ИЛИ, показанная на рис. 3.25.

При построении шифратора на элементах ИЛИ-HE он бу­дет иметь инверсные выходы в соответствии с выражениями.

Схема шифратора показана на рис. 3.26.

При выполнении шифратора на элементах И-НЕ сис­тема выражений приводится к виду

В этом случае на входы необходимо подавать инверс­ные значения, т. е. для получения на выходе двоичного числа, представляющего определенный вход (десятичную цифру), должен поступить логический 0 на соответствую­щий вход, а на остальные входы — логическая 1. Схема шифратора на элементах И-НЕ показана на рис. 3.27.

В ТТЛ микросхемах используются шифраторы 8 —> 3 (ИВ1, ИВ2), 10 —> 4 (ИВЗ).

Помимо информационных входов, шифраторы содер­жат дополнительные, обеспечивающие разрешение ввода и вывода, осуществление расширения без привлечения дополнительных цепей.

При работе шифратора в составе цифрового устройства возможен приход сигналов на несколько входов. В этом случае необходимо выбрать тот вход, которому предоставляется право первоочередного обслуживания. Поэтому шифраторы осуществляют приоритетное кодирование вход­ных сигналов со входа с наивысшим приоритетом.

Таким образом, при наличии на входах нескольких возбужденных линий на выходе будет та комбинация, которая соответствует старшему (приоритетному) входу.

Дополнительные входы также позволяют проводить на­ращивание шифраторов.

 

Дешифраторы

Дешифратор преобразует код, поступающий на его вхо­ды, в сигнал только на одном из его выходов, т. е. двоичные дешифраторы преобразуют двоичный код в код «1 из N».

Активным всегда является только один выход дешиф­ратора, причем номер этого выхода однозначно определя­ется входным кодом.

Дешифраторы относятся к комбинационным устрой­ствам. На принципиальных схемах в условном обозначе­нии дешифраторов ставятся буквы DC (от английского Decoder) (рис. 3.28). Входы дешифраторов обозначаются двоичными весами 1248. В отечественных микросхемах маркировка дешифраторов содержит две буквы ИД, на­пример, К555ИД4, 564ИД5.

 

Если число адресных входов дешифратора п, то мак­симальное число выходов 2n. В этом случае дешифратор называют полным. Если число выходов меньше 2n, дешиф­ратор называют неполным. В стандартные серии микро­схем входят дешифраторы на 4 выхода (2 разряда входно­го кода), на 8 выходов (3 разряда входного кода), на 16 выходов (4 разряда входного кода), неполный двоично­десятичный дешифратор 4x10 (ИД6). Они обозначаются 2-4, 3-8, 4-16, 4-10. Имеются дешифраторы управления различного типа светоизлучающими шкалами.

Дешифраторы различаются по емкости, по числу ка­налов, а также форматом выходного кода.

Работа дешифратора описывается таблицей истиннос­ти, обратной таблице истинности шифратора. В них вход­ные и выходные сигналы меняются местами. Входные сигналы представлены в коде 8421. В выходной колонке обозначен номер активного выхода.

На каждом выходе образуется уровень логической 1 при определенной комбинации на входах. Значения выходных переменных описываются логическими выражениями:

Если дешифратор выполняется на элементах И-НЕ, то выходные сигналы получаем с инверсией. Каждой комби­нации входного кода соответствует активный уровень ло­гического нуля на определенном выходе, а на остальных выходах устанавливается уровень логической единицы, формулы (3.16) записываются в виде:

Структура дешифратора с инверсными выходами и его условное графическое обозначение показаны на рис. 3.29.

Дешифраторы с инверсными выходами удобно приме­нять в схемах позиционной индикации на светодиодах. В качестве примера, на рис. 3.29, б показано подключение светодиода к четвертому выходу дешифратора.

Дешифраторы бывают с парафазными и однофазными вхо­дами. Применение однофазных входов позволяет уменьшить число линий связи и исключает необходимость дополнитель­ного применения инверторов. Инверсный вход формируется в самом дешифраторе. Более того, входной прямой сигнал также непосредственно в схеме не используется, а получает­ся как двоичная инверсия от входного. Тем самым макси­мально снимается нагрузка, обусловленная длиной линии связи, ее емкостью, что повышает быстродействие.

Дешифраторы при относительно малом числе элемен­тов и несложной внутренней структуре имеют большое число внешних выходных выводов. Поэтому не изготав­ливают дешифраторов с более, чем 4 информационными входами. Увеличение числа выходов осуществляется пу­тем наращивания разрядности (рис. 3.30).

Выходы дешифратора первой ступени подключают к стробирующим входам С разрешения/запрета работы де­шифраторов второй ступени. Из дешифраторов второй сту­пени активным будет только один выход только одного из дешифраторов.

При использовании на второй ступени дешифраторов 3-8 получаем устройство с 24 выходами.

На основе дешифраторов можно строить различные схемы преобразования кодов: мультиплексоры, демультип­лексоры, формирователи произвольных логических фун­кций, схемы управления различными индикаторными устройствами и т. д.

 

Компараторы кодов

Цифровой компаратор — комбинационное устройство, предназначенное для сравнения двоичных слов.

Компаратор выполняет следующие действия над дву­мя двоичными словами:

F (А = В) — равенство двоичных слов А и В;

F (А > В) — слово А больше слова В;

F (А < В) — слово А меньше слова В.

Примером компараторов является микросхема К554СП1 — схема сравнения двух четырехразрядных слов. Результатом является обнаружение одного из трех воз­можных состояний: А < В,А = В,А> В. Критерием равен­ства двух двоичных чисел является совпадение их по всем разрядам. Выход схемы сравнения устанавливается в вы­сокое состояние логической 1, если два числа равны, в противном случае выход находится в нулевом состоянии логического нуля.

Микросхема К555СП1 имеет четыре сравниваемых входа чисел А и В (АО, ВО, А1, В1, А2, В2, АЗ, ВЗ) и три дополни­тельных входа переноса А < В,А = В,А> В для сравнения чисел большей разрядности путем последовательного со­единения компараторов в каскад. Возможно построение мно­горазрядных компараторов в двоичном коде.

Устройства сравнения на равенство строятся на основе поразрядных операций над одноименными разрядами обо­их слов. Слова равны, если равны все одноименные разря­ды, т. е. если в обоих нули или единицы.

Рассмотрим случай сравнения одного двоичного раз­ряда.

Из таблицы 3.14 видно, что при любой комбинации входных сигналов на выходе компаратора может быть сформирован только один активный логический сигнал.

Таблице 3.14 соответствует система логических выра­жений

Формулы (3.18) можно реализовать в базисе (И, ИЛИ, НЕ). Остановимся более внимательно на функции F (А = В). Эта функция имеет самостоятельное значение, широко применяется в практике цифровых устройств и называется «ИСКЛЮЧАЮЩЕЕ ИЛИ-HE» (рис. 3.32), инверсия сум­мы по модулю два.

Использование элемента ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ позволяет упростить реализацию функций F (А = В), F (А > В), F (А < В) согласно формулам (3.18). Соответ­ствующая схема компаратора для одного разряда показа­на на рис. 3.32.

Отметим, что две из трех функций F (А = В), F (А> В), F (А < В) никогда не могут одновременно принимать еди­ничные значения. Поэтому возможно реализовать только две из них, а третью получить по двум известным. Они связаны между собою соотношениями:

 

Проверка на равенство двух слов подразумевает равен­ство каждого разряда. При проверке на «больше» F (А> В) старшие разряды преобладают. Младшие разряды следует проверять при равенстве старших. Обозначим r1 = F (аi = bi) — равенство i-го разряда слова. Тогда для двухразрядных слов проверка А > В сводится к проверке старших разрядов a1,b1 Младшие разряды а0, b0 проверяются при равенстве старших:

Поэтому для слов, имеющих два разряда А 0, a1), В (b0, b1), запишем формулу проверки А>В

Обобщая формулу (3.20) для слов произвольной раз­рядности п, получим

Как уже отмечалась, микросхема К555СП1 предназна­чена для сравнения четырехразрядных слов. Для сравне­ния слов большей разрядности компаратор строят нара­щиванием с использованием нескольких интегральных схем компараторов (рис. 3.33).

Неопределенные состояния на выходах компараторов могут возникать при смене любого из кодов. Это вызвано неодновременным изменением входных сигналов в различ­ных разрядах. На выходах появляются короткие паразитные помеховые импульсы. Борьба с ними осуществляется путем синхронизации и стробирования.

Также надо учитывать, что при каскадировании (рис. 3.32) n-микросхем общая задержка сигнала возрастает в n-раз. Компараторы кодов являются довольно медленно действующими устройствами.

 

Мультиплексоры

Устройство, которое осуществляет выборку одного из нескольких входов и подключает его к своему выходу, называется мультиплексором.

Название произошло от английского Multiplexer. Дру­гими словами мультиплексоры подключают один из вход­ных каналов к выходному под действием управляющего (адресного) кода.

Мультиплексор имеет информационные входы (D0, D1,...), адресные входы 0, А1, ...), вход С для подачи стробирующего сигнала и один выход Q.

Символически мультиплексор можно представить мно­гоканальным коммутатором, имеющим одностороннюю передачу данных (рис. 3.34).

Каждому информационному входу Di мультиплексора присваивается номер А, называемый адресом. При подаче стробирующего сигнала на вход С мультиплексор выбира­ет один из входов Di, адрес которого задается двоичным кодом на адресных входах А, и подключает его к выходу Q. Число информационных входов пинф и число адресных входов падр связаны соотношением

 

Мультиплексор представляет собой двухступенчатое устройство, выполненное на основе инверторов и схем типа И-ИЛИ, И-ИЛИ-НЕ, которые используют стробирующие свойства функции И аргументов канала информа­ции и адреса.

На рис. 3.35 показано символическое изображение мультиплексора с четырьмя информационными входами.

В общем случае функционирование мультиплексора описывается таблицей 3.15.

При отсутствии стробирующего сигнала (С = 0) отсут­ствует разрешение работы, отсутствует связь между ин­формационными входами и выходом Q = 0. Выход явля­ется нулевым независимо от информационных и адрес­ных сигналов. При подаче стробирующего сигнала (С = 1) на выход передается логический уровень того из инфор­мационных входов Di номер которого i в двоичной форме задан на адресных входах.

Так, например, при задании адреса А1А0 = 112 = 310 на выход Q будет передаваться сигнал информационного входа с адресом 310, т. е. D2.

По таблице истинности можно записать следующее логическое выражение для выхода Q:

которое называется мультиплексной формулой. Нетрудно записать формулу для другого количества входов.

В тех случаях, когда требуется передавать на выходы многоразрядные входные данные в параллельной форме, используется параллельное включение мультиплексоров по числу разрядов передаваемых данных.

На схемах мультиплексора обозначаются буквами MS или MUX (MULtipleXer). В отечественных сериях микро­схем мультиплексорам соответствуют буквы КП, напри­мер: К555КП2 — два мультиплексора ТТЛШ с общим де­шифратором адреса канала, К564КП1 — двойной четы­рехканальный мультиплексор КМОП.

Максимальное число информационных входов мульти­плексоров, выполненных в виде интегральных схем, равно 16. Если требуется построить мультиплексорное устройство с большим числом входов, можно объединить мультиплек­соры в схему так называемого мультиплексорного дерева. Такое мультиплексорное дерево, построенное на четырех­входовых мультиплексорах, показано на рис. 3.36.

 

Схема состоит из четырех мультиплексоров первого уровня с адресными переменными A1, А2 и мультиплексо­ра второго уровня с адресными переменными А3, А4. Мультиплексорное устройство имеет 16 входов, разбитых на четверки, которые подключены к отдельным мультиплек­сорам первого уровня. Мультиплексор второго уровня, подключая к общему выходу устройства выходы отдель­ных мультиплексоров первого уровня, переключает чет­верки входов. Внутри четверки требуемый вход выбира­ется мультиплексором первого уровня. По такой схеме, используя восьмивходовые мультиплексоры, можно пост­роить мультиплексорное устройство, имеющее 64 входа.

На первом и втором уровнях мультиплексорного дере­ва можно использовать мультиплексоры с разным числом входов. Если на первом уровне такого дерева используют­ся мультиплексоры с числом адресных переменных nадр1, на втором — с числом переменных nадр2, то общее число входов мультиплексорного дерева

а число мультиплексоров в схеме составит

Формулы (3.25) и (3.24) при сравнении с формулой (3.22) показывают эффективность и целесообразность по­строения мультиплексорного дерева.

Демультиплексор

Демультиплексоры выполняют операцию, обратную операции мультиплексоров — передают данные из одного входного канала в один из нескольких каналов приемни­ков. Демультиплексор имеет один информационный вход и несколько выходов и осуществляет коммутацию входа к одному из выходов, имеющему заданный адрес (номер).

На рис. 3.37 показана структурная схема демультип­лексора.

 

Она включает в себя дешифратор, выходы кото­рого управляют ключами. В зависимости от поданной на адресные входы кодовой комбинации, определяющей но­мер выходной цепи, дешифратор открывает соответству­ющий ключ, и вход демультиплексора подключается к определенному выходу.

Нетрудно заметить, что дешифратор со входом Е раз­решения работы будет функционировать в режиме демуль­типлексора, если на вход Е разрешения подавать инфор­мационный сигнал (рис. 3.38).

Действительно, при единичном значении сигнала Е разрешения работы адресация дешифратора (подача адресного кода на его входы) приведет к возбуждению соот­ветствующего выхода, при нулевом нет. Это означает пе­редачу информационного сигнала в адресованный выход­ной канал. Поэтому в сериях элементов отдельные демуль­типлексоры могут отсутствовать, а дешифратор со входом Е разрешения работы часто называют дешифратором — демультиплексором.

Объединяя мультиплексор с демультиплексором, можно построить устройство, в котором по заданным адресам один из входов подключается к одному из выходов (рис. 3.39).

Таким образом, может быть выполнена любая комбина­ция соединений входов с выходами. Например, при ком­бинации значений адресных переменных

Х1 = 1, Х2 = 0, X3 = 0, Х4 = 0

вход D2 окажется подключенным к выходу У0.

Если потребуется большое число выходов, может быть построено демультиплексорное дерево.

 


<== предыдущая лекция | следующая лекция ==>
 | Формы социальных взаимодействий




Дата добавления: 2015-11-06; просмотров: 27010;


Поиск по сайту:

При помощи поиска вы сможете найти нужную вам информацию.

Поделитесь с друзьями:

Если вам перенёс пользу информационный материал, или помог в учебе – поделитесь этим сайтом с друзьями и знакомыми.
helpiks.org - Хелпикс.Орг - 2014-2024 год. Материал сайта представляется для ознакомительного и учебного использования. | Поддержка
Генерация страницы за: 0.049 сек.