Выход на производство

Система Allegro Studio обеспечивает следующие возможности для выхода на производство:

1. уменьшение ступенчатости проводников и удаление лишних отводов;

2. улучшение соединений с контактной площадкой и центрирование проводников между площадками;

3. автоматическая трассировка каплевидных контактных площадок;

4. автоматическое удаление трафарета со сверловочных отверстий и контактных площадок;

5. автоматическое удаление лишних переходных контактов;

6. переименование обозначения элементов на схеме или плате в соответствии с заданным пользователем критерием;

7. автоматическая генерация спецификаций;

8. подготовка сборочных чертежей для сверления и производства;

9. автоматическая простановка размеров;

10. обеспечивает растровые форматы gerber, dpf, mda для фотоплоттеров;

11. поддержка стандартного формата gerber;

12. поддержка интерфейсов dsf и idf;

13. чтение файлов фототрафаретов и списка цепей.

Перспективы развития

Главная проблема в проектировании печатных плат – это неадекватность моделирования в горизонтальной и вертикальной плоскостях. Моделирование в горизонтальной плоскости основывается на моделях, содержащих информацию о компонентах (физическая, электрическая, о тепловых режимах и т.д.) включая информацию об искажении сигналов от электромагнитных помех и др. Моделирование вертикальной плоскости предполагает исследование ИС, пассивных компонентов и самой печатной платы в единой программе исследования, которая в настоящее время отсутствует. Необходим поиск новых стандартов пригодных для моделирования в горизонтальных и вертикальных областях. Необходимы новые УВФ-средства или расширение уже существующих, позволяющих проектировать печатные платы, используя сложные модели в единой среде.


Лекция 19

Проектирование вычислительных устройств с помощью VHDL

С помощью VHDL проще и быстрее ввести проверить большой проект. Достаточно строки VHDL или десятков строк чтобы описать как один, так и 100 тыс. элементов. Микросхемы с интеграцией более 10 тыс. вентилей разрабатываются только с помощью VHDL ввиду масштабности проекта. Проект на VHDL – это объединение структуры вычислительного устройства и алгоритмы его функционирования. Для вычислительного устройства, описанного на VHDL, необязательно выполнять процедуру правильности функционирования с помощью макетирования. А достаточно запустить VHDL-программу в симуляторе VHDL. Современный САПР преобразует VHDL-проект в комплект документации для изготовления работоспособного устройства, т.е. проект на VHDL самодокументируемый, т.е. не требует дополнительного технического описания или задания проекта в виде схемы. Отсутствие ошибок при проектировании достигается за счет автоматической проверки правильности функционирования устройства на основе его VHDL описания. Синтаксическая проверка, моделирование и компиляция логическую схему быстро выявляет ошибки проекта. Разработанный на VHDL проект является универсальным, т.е. описание блока (устройства) на VHDL может быть использована на многих других проектах. При этом многие структурные и функциональные характеристики блока могут быть настраиваемыми: разрядность, объем памяти, элементная база, состав блока и структура межсоединений.

Проект на VHDL разработанный на одной элементной базе может без труда быть перенесен на другую элементную базу. Поэтому проект на VHDL – долго живущий проект (т.к. элементная база каждые 1,5 года меняется). VHDL – это универсальное средство описания вычислительных устройств на уровнях:

1. алгоритмическом;

2. структурном;

3. регистровых передач;

4. потоках данных;

5. логическом;

6. аналоговых схем.








Дата добавления: 2016-04-02; просмотров: 780;


Поиск по сайту:

При помощи поиска вы сможете найти нужную вам информацию.

Поделитесь с друзьями:

Если вам перенёс пользу информационный материал, или помог в учебе – поделитесь этим сайтом с друзьями и знакомыми.
helpiks.org - Хелпикс.Орг - 2014-2024 год. Материал сайта представляется для ознакомительного и учебного использования. | Поддержка
Генерация страницы за: 0.003 сек.